Open Core Protocol International Partnership Association
   HOME

TheInfoList



OR:

Accellera Systems Initiative (Accellera) is a
standards organization A standards organization, standards body, standards developing organization (SDO), or standards setting organization (SSO) is an organization whose primary function is developing, coordinating, promulgating, revising, amending, reissuing, interpr ...
that supports a mix of user and vendor standards and open interfaces development in the area of
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing Electronics, electronic systems such as integrated circuits and printed circuit boards. The tools wo ...
(EDA) and
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
(IC) design and manufacturing. It is less constrained than the
Institute of Electrical and Electronics Engineers The Institute of Electrical and Electronics Engineers (IEEE) is a 501(c)(3) professional association for electronic engineering and electrical engineering (and associated disciplines) with its corporate office in New York City and its operation ...
(IEEE) and is therefore the starting place for many standards. Once mature and adopted by the broader community, the standards are usually transferred to the IEEE.


History

In 2000, Accellera was founded from the merger of
Open Verilog International Accellera Systems Initiative (Accellera) is a standards organization that supports a mix of user and vendor standards and open interfaces development in the area of electronic design automation (EDA) and integrated circuit (IC) design and manufactu ...
(OVI) and
VHDL International Accellera Systems Initiative (Accellera) is a standards organization that supports a mix of user and vendor standards and open interfaces development in the area of electronic design automation (EDA) and integrated circuit (IC) design and manufactu ...
, the developers of
Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also ...
and
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates ...
respectively. Both were originally formed nine years earlier in 1991. In June 2009, a merger was announced between Accellera and The SPIRIT Consortium, another major EDA standards organization focused on IP deployment and reuse. The SPIRIT Consortium obtained
SystemRDL The SystemRDL language, supported by the SPIRIT Consortium, was specifically designed to describe and implement a wide variety of control status registers. Using SystemRDL, developers can automatically generate and synchronize register views for ...
from the SystemRDL Alliance and then developed
IP-XACT IP-XACT is an XML format that defines and describes individual, re-usable electronic circuit designs (individual pieces of intellectual property, or IPs) to facilitate their use in creating integrated circuits (i.e. ''microchips''). IP-XACT was cre ...
. The merger was completed in April 2010. SPIRIT stood for "Structure for Packaging, Integrating and Re-using IP within Tool-flows". In December 2011, Accellera and the Open SystemC Initiative (OSCI) approved their merger, adopting the name Accellera Systems Initiative (Accellera) while continuing to develop SystemC. In October 2013, Accellera acquired the Open Core Protocol (OCP) standard, the intellectual property of the OCP International Partnership (OCP-IP).


The SPIRIT Consortium

The SPIRIT Consortium was a group of vendors and users of
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing Electronics, electronic systems such as integrated circuits and printed circuit boards. The tools wo ...
(EDA) tools, defining standards for the exchange of
System-on-a-chip A system on a chip or system-on-chip (SoC ; pl. ''SoCs'' ) is an integrated circuit that integrates most or all components of a computer or other electronic system. These components almost always include a central processing unit (CPU), memory ...
(SoC) design information. The standards defined included
IP-XACT IP-XACT is an XML format that defines and describes individual, re-usable electronic circuit designs (individual pieces of intellectual property, or IPs) to facilitate their use in creating integrated circuits (i.e. ''microchips''). IP-XACT was cre ...
, an XML schema for vendor-neutral descriptions of design components, and
SystemRDL The SystemRDL language, supported by the SPIRIT Consortium, was specifically designed to describe and implement a wide variety of control status registers. Using SystemRDL, developers can automatically generate and synchronize register views for ...
, a language for describing registers in components. SPIRIT stood for "Structure for Packaging, Integrating and Re-using IP within Tool-flows". In June 2009 it was announced that SPIRIT would merge with Accellera.


SPIRIT membership

There were four levels of membership in the SPIRIT consortium. The ''Board of Directors'' (BoD) was the ruling body. Members around the time of the merge were: *
ARM Holdings Arm is a British semiconductor and software design company based in Cambridge, England. Its primary business is in the design of ARM processors (CPUs). It also designs other chips, provides software development tools under the DS-5, RealView an ...
*
Cadence Design Systems Cadence Design Systems, Inc. (stylized as cādence), headquartered in San Jose, California, is an American multinational corporation, multinational computational software company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. The co ...
*
Freescale Semiconductor Freescale Semiconductor, Inc. was an American semiconductor manufacturer. It was created by the divestiture of the Semiconductor Products Sector of Motorola in 2004. Freescale focused their integrated circuit products on the automotive, embed ...
*
LSI Corporation LSI Logic Corporation, an American company founded in Milpitas, California, was a pioneer in the ASIC and EDA industries. It evolved over time to design and sell semiconductors and software that accelerated storage and networking in data cente ...
*
Mentor Graphics Siemens EDA is a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon. Founded in 1981 as Mentor Graphics, the company was acquired by Siemens in ...
*
NXP Semiconductors NXP Semiconductors N.V. (NXP) is a Dutch semiconductor designer and manufacturer with headquarters in Eindhoven, Netherlands. The company employs approximately 31,000 people in more than 30 countries. NXP reported revenue of $11.06 billion in 2 ...
*
STMicroelectronics STMicroelectronics N.V. commonly referred as ST or STMicro is a Dutch multinational corporation and technology company of French-Italian origin headquartered in Plan-les-Ouates near Geneva, Switzerland and listed on the French stock market. ST ...
*
Synopsys Synopsys is an American electronic design automation (EDA) company that focuses on silicon design and verification, silicon intellectual property and software security and quality. Products include tools for logic synthesis and physical design ...
*
Texas Instruments Texas Instruments Incorporated (TI) is an American technology company headquartered in Dallas, Texas, that designs and manufactures semiconductors and various integrated circuits, which it sells to electronics designers and manufacturers globall ...
''Contributing members'' performed the standardization work and donate time and effort to the production of new specifications. ''Reviewing member'' status was a free membership for companies. These get early access to specifications to facilitate a deep review round of each proposal before it goes public. ''Associate member'' status was similar to a reviewing membership but for academics and other not-for-profit organizations.Reviewing Members
/ref>


Open Core Protocol International Partnership Association

The ''Open Core Protocol International Partnership Association, Inc.'' (OCP-IP) was an independent, non-profit semiconductor industry consortium formed to administer the support, promotion and enhancement of the Open Core Protocol (OCP). OCP was the first fully supported, openly licensed, comprehensive, interface socket for semiconductor intellectual property (IP) cores. The mission of OCP-IP was to address problems relating to design, verification, and testing which are common to IP core reuse in "
plug and play In computing, a plug and play (PnP) device or computer bus is one with a specification that facilitates the recognition of a hardware component in a system without the need for physical device configuration or user intervention in resolving resou ...
"
system on a chip A system on a chip or system-on-chip (SoC ; pl. ''SoCs'' ) is an integrated circuit that integrates most or all components of a computer or other electronic system. These components almost always include a central processing unit (CPU), memory ...
(SoC) products. This initiative comprehensively fulfills system-level integration requirements by promoting
IP core In electronic design, a semiconductor intellectual property core (SIP core), IP core, or IP block is a reusable unit of logic, cell, or integrated circuit layout design that is the intellectual property of one party. IP cores can be licensed to ...
reusability and reducing design time, risk and manufacturing costs for SoC designs. Design teams developing consumer,
data processing Data processing is the collection and manipulation of digital data to produce meaningful information. Data processing is a form of ''information processing'', which is the modification (processing) of information in any manner detectable by an ...
, telecom (wireless or wired), datacom and mass storage applications can gain significant benefits from the OCP-IP solution.


Accellera membership

Corporate members have a right to be eligible for election to the Board of Directors. Associate member companies have voting rights in all of Accellera's Technical Working Groups.Members
/ref>


Standards

The following EDA standards developed by Accellera were ratified by IEEE by 2019: *
Verilog Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems. It is most commonly used in the design and verification of digital circuits at the register-transfer level of abstraction. It is also ...
or IEEE 1364 or IEC 61691-4 *
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates ...
or IEEE 1076 or IEC 61691-1-1 *
Property Specification Language Property Specification Language (PSL) is a temporal logic extending linear temporal logic with a range of operators for both ease of expression and enhancement of expressive power. PSL makes an extensive use of regular expressions and syntactic sug ...
(PSL) or IEEE 1850 or IEC 62531 * SystemC or IEEE 1666 *SystemC Analog/Mixed-Signal extensions or IEEE 1666.1 *
SystemVerilog SystemVerilog, standardized as IEEE 1800, is a hardware description and hardware verification language used to model, design, simulate, test and implement electronic systems. SystemVerilog is based on Verilog and some extensions, and since 200 ...
or IEEE 1800 *
Standard Delay Format Standard Delay Format (SDF) is an IEEE standard for the representation and interpretation of timing data for use at any stage of an electronic design process. It finds wide applicability in design flows, and forms an efficient bridge between dynamic ...
(SDF) or IEEE 1497 or IEC 61523-3 *Delay and Power Calculation System ( DPCS/OLA; see Standard Parasitic Exchange Format) or IEEE 1481 *
Advanced Library Format Advanced Library Format (ALF), also known as IEEE 1603 or IEC 62265, is an IEEE and IEC standard that describes a data specification language for library elements used in ASIC design applications for integrated circuits. ALF can model behavior, ti ...
(ALF) or IEEE 1603 or IEC 62265 * Open Compression Interface (OCI) or IEEE 1450.6.1 *
Unified Power Format Unified Power Format (UPF) is the popular name of the Institute of Electrical and Electronics Engineers (IEEE) standard for specifying power intent in power optimization of electronic design automation. The IEEE 1801-2009 release of the standa ...
(UPF) or IEEE 1801 * Open Model Interface (OMI) or IEEE 1499 *
IP-XACT IP-XACT is an XML format that defines and describes individual, re-usable electronic circuit designs (individual pieces of intellectual property, or IPs) to facilitate their use in creating integrated circuits (i.e. ''microchips''). IP-XACT was cre ...
or IEEE 1685 *
Universal Verification Methodology The Universal Verification Methodology (UVM) is a standardized methodology for verifying integrated circuit designs. UVM is derived mainly from the OVM ( Open Verification Methodology) which was, to a large part, based on the eRM (e Reuse Method ...
(UVM) or IEEE 1800.2 The following EDA initiatives were developed by Accellera: * Open Core Protocol (OCP) * Open Verification Language (OVL) * Open Verification Library (OVL) *Portable Test & Stimulus Standard (PSS) * Standard Co-Emulation-Modeling Interface (SCE-MI) * Soft IP Tagging *
SystemRDL The SystemRDL language, supported by the SPIRIT Consortium, was specifically designed to describe and implement a wide variety of control status registers. Using SystemRDL, developers can automatically generate and synchronize register views for ...
(System Register Description Language) * Unified Coverage Interoperability Standard (UCIS) *
Universal Verification Methodology The Universal Verification Methodology (UVM) is a standardized methodology for verifying integrated circuit designs. UVM is derived mainly from the OVM ( Open Verification Methodology) which was, to a large part, based on the eRM (e Reuse Method ...
(UVM) *
Verilog-AMS Verilog-AMS is a derivative of the Verilog hardware description language that includes analog and mixed-signal extensions (AMS) in order to define the behavior of analog and mixed-signal systems. It extends the event-based simulator loops of Veril ...
(Analog Mixed-Signal)


See also

*
Design Automation Standards Committee The Design Automation Standards Committee (DASC) is a subgroup of interested individuals members of the Institute of Electrical and Electronics Engineers (IEEE) Computer Society and Standards Association. It oversees IEEE Standards that are relat ...
(DASC)


References


External links

* {{authority control Electronic design automation organizations Standards organizations in the United States